Click here to Skip to main content
15,884,388 members
Please Sign up or sign in to vote.
1.00/5 (1 vote)
See more:
vhdl code for linear feedback shiftregister
Posted
Comments
Richard MacCutchan 12-Jan-11 7:25am    
Rather than just posting demands for code, try doing some research of your own first, and then ask questions when you have tried to do some work and hit a problem.
Thomas Krojer 13-Jan-11 4:49am    
words like 'please' would be fine

1 solution

Hi Helina,

find the VHDL code for LFSRs there: http://sciencezero.4hv.org/science/lfsr.htm[^], the site also has the codes for MMX, ARM, C++ and BASIC.

VHDL code for a LFSR
vhdl
signal lfsr : std_logic_vector(14 downto 0);
lfsr <= lfsr(13 downto 0) & (lfsr(14) xnor lfsr(0)); 


You may need to adjust for register length and tap points.

Best Regards,
Manfred
 
Share this answer
 

This content, along with any associated source code and files, is licensed under The Code Project Open License (CPOL)



CodeProject, 20 Bay Street, 11th Floor Toronto, Ontario, Canada M5J 2N8 +1 (416) 849-8900