Click here to Skip to main content
15,884,298 members
Please Sign up or sign in to vote.
1.00/5 (1 vote)
See more:
C#
Can anyone post a vhdl code that shows addition and multiplication of real variables/signals right from usage of libraries, to declaration of signals, to the addition and multiplication code?

or

Can anyone post a vhdl code that shows addition and multiplication of floating point numbers right from usage of libraries, to declaration of signals, to the addition and multiplication code?


What I have tried:

We have googled how to implement floating point numbers but there is no clear answer.
We have tried using package ieee.float_package.all; but the error message shows that there is no such package
Posted
Updated 16-Jun-16 7:18am

1 solution

See my suggestion in your original of this question: How to use float in vhdl[^].
 
Share this answer
 
Comments
Member 12584817 16-Jun-16 13:22pm    
We have searched on google, but there is no concrete answer. Just a small code about addition and multiplication of real variables/signals in vhdl will help
Richard MacCutchan 17-Jun-16 4:07am    
The first link from the Google search I gave you is a technical paper with a complete description. You need to spend some time studying it.

This content, along with any associated source code and files, is licensed under The Code Project Open License (CPOL)



CodeProject, 20 Bay Street, 11th Floor Toronto, Ontario, Canada M5J 2N8 +1 (416) 849-8900